From fafd9320af36134348b91a2e59d06d15f88c4d2c Mon Sep 17 00:00:00 2001 From: yannickreiss Date: Wed, 31 Jan 2024 15:20:17 +0100 Subject: [PATCH] Connecting I2C --- src/I2C.vhd | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/I2C.vhd b/src/I2C.vhd index b53472b..2ebf611 100644 --- a/src/I2C.vhd +++ b/src/I2C.vhd @@ -22,12 +22,12 @@ end I2C; architecture Implementation of I2C is signal Clk100k : std_logic := '0'; signal Clk100Counter : std_logic_vector(10 downto 0) := (others => '0'); - + signal PackageReg : std_logic_vector(11 downto 0) := (others => '0'); begin - ClkSplit100k : process(clk) + ClkSplit100k : process(Clk) begin - if rising_edge(clk) then + if rising_edge(Clk) then if unsigned(Clk100Counter) >= 500 then Clk100Counter <= (others => '0'); Clk100k <= not Clk100k;